
* Cleaning up public/ and documenting js/css libs. This commit mostly addresses #1484 by moving vendor'ed plugins into a vendor/ directory and documenting their upstream source and license in vendor/librejs.html. This also proves gitea is using only open source js/css libraries which helps toward reaching #1524. * Removing unused css file. The version of this file in use is located at: vendor/plugins/highlight/github.css * Cleaned up librejs.html and added javascript header A SafeJS function was added to templates/helper.go to allow keeping comments inside of javascript. A javascript comment was added in the header of templates/base/head.tmpl to mark all non-inline source as free. The librejs.html file was updated to meet the current librejs spec. I have now verified that the librejs plugin detects most of the scripts included in gitea and suspect the non-free detections are the result of a bug in the plugin. I believe this commit is enough to meet the C0.0 requirement of #1534. * Updating SafeJS function per lint suggestion * Added VERSIONS file, per request
96 lines
2.4 KiB
HTML
96 lines
2.4 KiB
HTML
<!doctype html>
|
|
|
|
<title>CodeMirror: VHDL mode</title>
|
|
<meta charset="utf-8"/>
|
|
<link rel=stylesheet href="../../doc/docs.css">
|
|
|
|
<link rel="stylesheet" href="../../lib/codemirror.css">
|
|
<script src="../../lib/codemirror.js"></script>
|
|
<script src="../../addon/edit/matchbrackets.js"></script>
|
|
<script src="vhdl.js"></script>
|
|
<style type="text/css">.CodeMirror {border-top: 1px solid black; border-bottom: 1px solid black;}</style>
|
|
<div id=nav>
|
|
<a href="http://codemirror.net"><h1>CodeMirror</h1><img id=logo src="../../doc/logo.png"></a>
|
|
|
|
<ul>
|
|
<li><a href="../../index.html">Home</a>
|
|
<li><a href="../../doc/manual.html">Manual</a>
|
|
<li><a href="https://github.com/codemirror/codemirror">Code</a>
|
|
</ul>
|
|
<ul>
|
|
<li><a href="../index.html">Language modes</a>
|
|
<li><a class=active href="#">VHDL</a>
|
|
</ul>
|
|
</div>
|
|
|
|
<article>
|
|
<h2>VHDL mode</h2>
|
|
|
|
<div><textarea id="code" name="code">
|
|
LIBRARY ieee;
|
|
USE ieee.std_logic_1164.ALL;
|
|
USE ieee.numeric_std.ALL;
|
|
|
|
ENTITY tb IS
|
|
END tb;
|
|
|
|
ARCHITECTURE behavior OF tb IS
|
|
--Inputs
|
|
signal a : unsigned(2 downto 0) := (others => '0');
|
|
signal b : unsigned(2 downto 0) := (others => '0');
|
|
--Outputs
|
|
signal a_eq_b : std_logic;
|
|
signal a_le_b : std_logic;
|
|
signal a_gt_b : std_logic;
|
|
|
|
signal i,j : integer;
|
|
|
|
BEGIN
|
|
|
|
-- Instantiate the Unit Under Test (UUT)
|
|
uut: entity work.comparator PORT MAP (
|
|
a => a,
|
|
b => b,
|
|
a_eq_b => a_eq_b,
|
|
a_le_b => a_le_b,
|
|
a_gt_b => a_gt_b
|
|
);
|
|
|
|
-- Stimulus process
|
|
stim_proc: process
|
|
begin
|
|
for i in 0 to 8 loop
|
|
for j in 0 to 8 loop
|
|
a <= to_unsigned(i,3); --integer to unsigned type conversion
|
|
b <= to_unsigned(j,3);
|
|
wait for 10 ns;
|
|
end loop;
|
|
end loop;
|
|
end process;
|
|
|
|
END;
|
|
</textarea></div>
|
|
|
|
<script>
|
|
var editor = CodeMirror.fromTextArea(document.getElementById("code"), {
|
|
lineNumbers: true,
|
|
matchBrackets: true,
|
|
mode: {
|
|
name: "vhdl",
|
|
}
|
|
});
|
|
</script>
|
|
|
|
<p>
|
|
Syntax highlighting and indentation for the VHDL language.
|
|
<h2>Configuration options:</h2>
|
|
<ul>
|
|
<li><strong>atoms</strong> - List of atom words. Default: "null"</li>
|
|
<li><strong>hooks</strong> - List of meta hooks. Default: ["`", "$"]</li>
|
|
<li><strong>multiLineStrings</strong> - Whether multi-line strings are accepted. Default: false</li>
|
|
</ul>
|
|
</p>
|
|
|
|
<p><strong>MIME types defined:</strong> <code>text/x-vhdl</code>.</p>
|
|
</article>
|